initialize some variables

This commit is contained in:
Boris Faure 2014-03-25 21:57:34 +01:00
parent 00fbb359ee
commit 9346db3ba7
2 changed files with 5 additions and 5 deletions

View File

@ -3035,7 +3035,7 @@ _smart_cb_mouse_down(void *data, Evas *e EINA_UNUSED, Evas_Object *obj EINA_UNUS
{
Evas_Event_Mouse_Down *ev = event;
Termio *sd = evas_object_smart_data_get(data);
int cx, cy;
int cx = 0, cy = 0;
int shift, ctrl;
EINA_SAFETY_ON_NULL_RETURN(sd);
@ -3363,7 +3363,7 @@ static void
_smart_cb_mouse_in(void *data, Evas *e EINA_UNUSED,
Evas_Object *obj EINA_UNUSED, void *event)
{
int cx, cy;
int cx = 0, cy = 0;
Evas_Event_Mouse_In *ev = event;
Termio *sd = evas_object_smart_data_get(data);
@ -3395,7 +3395,7 @@ _smart_cb_mouse_out(void *data, Evas *e EINA_UNUSED, Evas_Object *obj,
}
else
{
int cx, cy;
int cx = 0, cy = 0;
_smart_xy_to_cursor(data, ev->canvas.x, ev->canvas.y, &cx, &cy);
sd->mouse.cx = cx;
@ -3445,7 +3445,7 @@ _smart_cb_mouse_wheel(void *data, Evas *e EINA_UNUSED, Evas_Object *obj EINA_UNU
}
else
{
int cx, cy;
int cx = 0, cy = 0;
_smart_xy_to_cursor(data, ev->canvas.x, ev->canvas.y, &cx, &cy);

View File

@ -743,7 +743,7 @@ termpty_resize(Termpty *ty, int new_w, int new_h)
{
Termcell *new_screen;
Termsave **new_back;
int y_start, y_end, new_y_start, new_y_end;
int y_start, y_end, new_y_start = 0, new_y_end;
int i, altbuf = 0;
if ((ty->w == new_w) && (ty->h == new_h)) return;