Commit Graph

29211 Commits

Author SHA1 Message Date
Oleksandr Shcherbina d28b82be45 evas: Evas_3D - callbacks for Evas 3D.
Summary:
Add class and type Evas_3D_Callback like wrapper under smart object
Incapsulate Evas_3D_Callback in Evas_3D_Object
Add virtual function register and unregister in Evas_3D_Object
Add function evas_3d_callback_call
Add callbacks clicked and collision for Evas_3D_Node

@feature

Reviewers: raster, Hermet, cedric

Reviewed By: cedric

Subscribers: artem.popov, cedric

Differential Revision: https://phab.enlightenment.org/D1914

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-18 22:42:07 +01:00
Dmytro Dadyka b9b5ced501 evas: Evas_3D - refactor shader system.
Reviewers: Hermet, raster, jpeg, cedric

Subscribers: artem.popov, cedric

Differential Revision: https://phab.enlightenment.org/D1947

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-18 22:42:07 +01:00
Daniel Kolesa 42912e6e44 eolian: convenience macro to fill base structures in parser 2015-02-18 15:41:50 +00:00
Chris Michael fd0de9ae5c ecore-drm: Fix issue with ecore_drm_evdev->path being incorrect
Summary: When we try to ReleaseDevice of our evdev structure, the
'path' which was getting passed to our logind code was incorrect. This
was due to libinput not providing a function to get the full device
path. We fix this by making some eeze udev calls to find this device
and get the full device path.

@fix

Signed-off-by: Chris Michael <cp.michael@samsung.com>
2015-02-17 16:19:55 -05:00
Chris Michael 6597d39e90 ecore-drm: Fix issue of ecore_drm not calling ReleaseDevice when an
input is destroyed

Summary: This fixes an issue where if you VT switch away from a
running Enlightenment and VT switch back, then input would no longer
be working. This was because we never called ReleaseDevice (dbus call)
when we destroyed a device, so any calls to recreate the device would
fail in libinput due to control already being taken.

@fix

Signed-off-by: Chris Michael <cp.michael@samsung.com>
2015-02-17 16:19:55 -05:00
Chris Michael 96cbbb3416 ecore-drm: Don't recreate ecore_event handlers if we already have them
Summary: If we already have the ecore_event_handlers for logind, then
do not recreate them on logind_connect.

@fix

Signed-off-by: Chris Michael <cp.michael@samsung.com>
2015-02-17 16:19:55 -05:00
Chris Michael a5fe8a1e87 ecore-drm: Fix issue of checking improper eldbus message for errors
Summary: When we send_and_block, we should be checking the 'reply' for
errors, not the original message which was sent.

@fix

Signed-off-by: Chris Michael <cp.michael@samsung.com>
2015-02-17 16:19:55 -05:00
Minkyoung Kim 4aeb8a4e7d evas: fix error checking of eglBindAPI.
Summary: If eglGetError sequencially called, second eglGetError() doesn't give the information of real Error.

@fix

Reviewers: raster, jpeg, cedric, Hermet

Subscribers: cedric, spacegrapher, wonsik

Differential Revision: https://phab.enlightenment.org/D1982

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-17 16:34:41 +01:00
perepelits.m c0c487ac1a edje: add a block "position" for Evas_3D part (camera, light and node).
Summary: add a block "position" to fill the position field for nodes.

Reviewers: raster, Hermet, cedric

Subscribers: cedric

Differential Revision: https://phab.enlightenment.org/D1977

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-17 16:31:09 +01:00
Dmytro Dadyka 1c74a1afe2 evas: Evas_3D - refactor node shapes update mechanism.
Reviewers: cedric

Subscribers: cedric

Differential Revision: https://phab.enlightenment.org/D1984

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-17 15:39:48 +01:00
kabeer khan 88932d3645 evas: updated .gitignore to not track evas_3d_colorpick example.
Summary: Signed-off-by: kabeer khan <kabeer.khan@samsung.com>

Reviewers: cedric, Oleksander

Subscribers: cedric

Differential Revision: https://phab.enlightenment.org/D1968

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-16 12:11:28 +01:00
Vitalii Vorobiov bd2304cf3b edje: Edje_Edit - add examples for it's API using for BOX part and items
Summary:
Some little example about how and when edje_edit functions can be applied.
In this example there is a box part with items in edj, and by using edje_edit
program actually changes edj file directly. (adding items,
changing layouts of box, changing params of items, etc).

It is pushed as a reference for people who would like to do there own
Edje editor. Normal application should not use that API.

Reviewers: Hermet, raster, seoz, cedric

Reviewed By: cedric

Subscribers: cedric, reutskiy.v.v

Differential Revision: https://phab.enlightenment.org/D1969

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-16 12:10:07 +01:00
Yomi 14e65920ff evas: fix misspellings in evas_render2.
Summary: fix misspellings of 'canvas' and 'work' in the comments.

Reviewers: raster

Subscribers: cedric

Differential Revision: https://phab.enlightenment.org/D1972

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-16 11:58:58 +01:00
Srivardhan Hebbar 3da02b4600 ecore_con: updatet documentation about Ecore_Con events.
Summary: Ordered events into server side events, client side events and update the text.

Reviewers: cedric

Reviewed By: cedric

Subscribers: cedric

Differential Revision: https://phab.enlightenment.org/D1976

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-16 11:57:38 +01:00
Minkyoung Kim c972994e01 evas: Evas GL - add glGetError() after surface capability test.
Summary:
After using gl funcs, must call glGetError().
To prevent wrong error catch for subsequent glGetError().

Test Plan: Local tests

Reviewers: raster, jpeg, Hermet, cedric

Reviewed By: cedric

Subscribers: spacegrapher, wonsik, cedric

Differential Revision: https://phab.enlightenment.org/D1978

Signed-off-by: Cedric BAIL <cedric@osg.samsung.com>
2015-02-16 11:54:27 +01:00
Vitalii Vorobiov 87a5388919 edje: Edje_Edit - functions to edit layouts of BOX parts
New functions for setting such BOX's params like primary and fallback layouts:
> edje_edit_state_box_layout_set
> edje_edit_state_box_layout_get
> edje_edit_state_box_alt_layout_set
> edje_edit_state_box_alt_layout_get

@feature
2015-02-13 19:08:40 +02:00
Vitalii Vorobiov b552cfb50b edje: edje_edit - fix group source generation of box params
Need to generate such params of box like align, padding, min and layouts
(both primary and alternative).

@fix
2015-02-13 18:43:04 +02:00
Vitalii Vorobiov eaad731abe edje: edje_edit - fix group source generation of item 'weight' param
@fix
2015-02-13 18:42:14 +02:00
Daniel Kolesa 2b8bc02e12 edje, eeze: compiler portability (use full ternary operator) 2015-02-13 14:20:32 +00:00
Vincent Torri 06fe2fad0e eina: remove useless eina_inline_lock_win32.x file. 2015-02-13 11:05:27 +01:00
Vincent Torri 8a24d17d0d eina: fix compilation on Widows due to removal of native thread support.
Native thread support has been replaced by a POSIX one (provided by
mingw-w64). So eina_inline_lock_posix.x must be installed instead of
eina_inline_lock_win32.x
2015-02-13 11:05:27 +01:00
Stefan Schmidt ecceca8566 ecore/drm: Compile ecore_drm_logind.c without condition
Right now we excluded the file from the build if we did not find system-logind.
We are using some symbols from this file without any condition though:
lib/ecore_drm/.libs/libecore_drm.so: undefined reference to `_ecore_drm_logind_device_open_no_pending'
lib/ecore_drm/.libs/libecore_drm.so: undefined reference to `_ecore_drm_logind_connect'
lib/ecore_drm/.libs/libecore_drm.so: undefined reference to `_ecore_drm_logind_disconnect'
lib/ecore_drm/.libs/libecore_drm.so: undefined reference to `_ecore_drm_logind_device_close'

This comes from a Gentoo machine without systemd.

As the logind relevant parts are ifdef'ed in the code itself it is safe to build
this file in all cases and thus avoid the undefined references.

@fix
2015-02-13 10:12:25 +01:00
Jean-Philippe Andre 681573c555 Evas: Fix compilation warning (-Wshadow) 2015-02-13 14:00:23 +09:00
Jean-Philippe Andre 968751f835 Evas: Free variable in error path
Fixes CID 1270032
  Resource leaks  (RESOURCE_LEAK)
  Variable "exim" going out of scope leaks the storage it points to.
2015-02-13 11:07:39 +09:00
Mike Blumenkrantz 38f1f9c5f2 ee-win32 probably might compile now
<vtorri> bad Mike !
<vtorri> the name of the function is not good, so compilation fails on Windows
<vtorri> remove 'object' in the name of the definition of the function :)

@fix
2015-02-12 16:21:52 -05:00
Stefan Schmidt dc5a391d1c ecore/drm: Fix libinput >= 0.8 check
We need #if here as LIBINPUT_HIGHER_08 will be either 0 or 1

@fix
2015-02-12 18:38:51 +01:00
Chris Michael 5c68b659bb ecore-drm: Fix bad German formatting ;)
Summary: No functional changes, just formatting

Signed-off-by: Chris Michael <cp.michael@samsung.com>
2015-02-12 12:09:47 -05:00
Stefan Schmidt 52c48cfc21 ecore/drm: Add support for changed libinput API since 0.8
We check for libinput 06 or higher. In version 0.8 they got an API break
(hopefully the last one before 1.0) which we did not support so far. I have
seen libinput 0.9 used on gentoo and newer ubuntu systems so we should
definitely support them.

Adding a LIBINPUT_HIGHER_08 define to check for this. So far we have only one
location where we need it. Once there is a libinput 1.0 we should remove the
support for older versions.

http://lists.freedesktop.org/archives/wayland-devel/2015-January/019383.html
2015-02-12 18:06:12 +01:00
Stefan Schmidt 8cbe288227 edje_cc: Avoid segfault when strcmp NULL items
Martin reported a porblem with one of the SHR project edc files after an upgrade
to 1.13. The segfault itself is easy enough to fix here but I think there might
be other problems with the edc after this segfault is gone. We will see.

Fixes T2106
2015-02-12 15:30:11 +01:00
Daniel Zaoui 024c9dc858 Eolian: improve the class searching.
If the class is not found, we retrieve its full path if it has already
been scanned.

This patch is needed by Espion so Eolian can find the full path of a
class by its name. Espion has no way to determine it by itself.
2015-02-12 15:58:50 +02:00
Daniel Zaoui 2349e1ac41 Eolian: store the class filenames with the extensions.
This change is needed to give Espion a way to request the parsing of a
class when a eo_add happens and the class is not known by Eolian.
2015-02-12 15:58:50 +02:00
Stefan Schmidt 7415a5fc36 tests/evas: Enable evas 3d mesh test case again after the code got fixed.
The problem have been in the new evas block/unblock code which did not work
for evas 3d. Thanks to Bogdan for spotting it and raster for reverting the
3d part for now to keep the code working.

Fixes T2104
2015-02-12 14:45:53 +01:00
Daniel Kolesa 687e5130d8 eolian: add testcase for nullable/optional 2015-02-12 13:42:57 +00:00
Daniel Kolesa a2dd62e510 eolian: remove unneeded code 2015-02-12 13:31:42 +00:00
Carsten Haitzler 5213599b5a evas 3d - undo async block code - 3d objects dont inherit from evas obj 2015-02-12 22:30:10 +09:00
Carsten Haitzler d3527da0ab evas - image obj - filled mode - never use invalid 0x0 fill
this fixes a lot of noise for filled image objects that set fill to
0x0 if objetc is 0x0. this clamps minimum fill at 1x1 and thus keeps
things silent and sensible. also just silently ignore 0x0 filled image
objects. noise is not that useful.
2015-02-12 22:30:10 +09:00
Daniel Kolesa 4301690535 eolian: add APIs for nullable/optional (+ lua bindings) 2015-02-12 13:24:06 +00:00
Daniel Kolesa 5b8f3a083b eolian: write the new parameters into the database 2015-02-12 11:32:34 +00:00
Daniel Kolesa fff83acef3 eolian: check the other boolean rather than itself 2015-02-12 11:19:24 +00:00
Daniel Kolesa be6415d662 eolian: parsing of @nullable and @optional args on func params 2015-02-12 11:14:45 +00:00
Stefan Schmidt 46829c05fe Revert "ecore: fix path comparison in Ecore_File test suite."
This reverts commit 66c0f3261d.

This commit breaks the eina_file suite on Gentoo (our jenkins OS).

ref T2105
2015-02-12 10:47:02 +01:00
Stefan Schmidt 8c7c48a3f7 tests/evas: Disable segfaulting evas_object_mesh_loader_saver
This test case segfault on jenkins as well as on my devel machine.
Disable until fixed.

ref T2104
2015-02-12 10:23:50 +01:00
Guilherme Lepsch 7a302f12d9 eina: Fix MacOS X build with clang 3.6.
Summary:
printf contains and erroneous format specifier and cast
missing LIBTOOLFLAGS for cocoa

Reviewers: felipealmeida, larryolj, naguirre

Subscribers: cedric

Differential Revision: https://phab.enlightenment.org/D1912

Signed-off-by: Nicolas Aguirre <aguirre.nicolas@gmail.com>
2015-02-12 07:55:33 +01:00
Jean-Philippe Andre 6b48c106d3 Revert "Evas GL: Add support for uniforms in the shaders"
This reverts commit 21d08f86e6.
2015-02-12 15:18:19 +09:00
Jean-Philippe Andre cfd337a758 Revert "Evas GL common: Use uniform instead of attribute for sample"
This reverts commit 986b60eaf0.
Added PUSH_SAMPLE for simplicity.

It was dumb of me to use uniforms, so I added a comment to prevent
other dumb people from making the same mistake later.
2015-02-12 15:01:39 +09:00
Jean-Philippe Andre 651f0ae241 Evas GL common: Improve code readability (simplify macro)
The exact same ugly macro would appear hundreds of times in the GL
code:
  GLERR(__FUNCTION__, __FILE__, __LINE__, "");

Instead, override the common GL functions iif GL_ERRORS is defined.
This greatly simplifies code and removes tons of useless lines.
Also, this will give better debugging output as the exact code line
is printed, and the function name is also printed.

Also, fix linking to the glerr function.

This is a code cleanup. Hopefully I didn't break anything with this
big operation of find & replace.
2015-02-12 11:23:03 +09:00
Jean-Philippe Andre 9eaadb2eb7 Evas GL common: Simplify GL pipe code with macros
A lot of the code was just brutal copy & paste.
Reduced by using simpler macros, which I believe are even
more readable.
2015-02-12 11:23:03 +09:00
Jean-Philippe Andre 7e26c30522 Evas GL common: Call glGetUniformLocation as early as possible
This should speed up setting uniforms in the shaders, by storing
their locations in the Evas_GL_Program description.

I kept the previous solution with name as fallback, but it won't
actually be used with the current shaders.
2015-02-12 11:23:03 +09:00
Jean-Philippe Andre 986b60eaf0 Evas GL common: Use uniform instead of attribute for sample
Image and texture programs "12", "21" and "22" used tex_sample
as a texture attribute passed to the vertex shader. Instead of
this seemingly hackish solution, use a vec2 uniform.
2015-02-12 11:23:03 +09:00
Carsten Haitzler 7c5f92d702 evas - render - have lock point to allow for async obj walk + update add
this adds a lock for when walking all the objects to generate render
commands for an async render. this allows even the object tree walk
plus update area caluclation to be moved off into async if every oject
that can change canvas state actually does so correctly. this change
adds all those lock block calls to synchronise with an async object
tree walk.
2015-02-12 11:06:40 +09:00